Analysis

Advanced packaging at the heart of innovation

15th February 2019
Alex Lynn
0

The semiconductor industry showed impressive figures in 2017; +21.6% YoY growth to reach about $412bn. Without a doubt, the industry is entering a new age, where innovation and disruption are the key words. In addition to mobile, Yole Développement (Yole) analysts identified emerging mega-drivers that are step by step changing our world.

Big data, AI, 5G, HPC, IoT, smart automotive, industry 4.0, datacenters and more, all mega-trends becoming part of our day to day life, with a direct impact on the semiconductor industry and its supply chain. In its latest report, ‘Status of the Advanced Packaging Industry’, Yole predicts an impressive $39bn advanced packaging market in 2023 with seven percent CAGR.

“The advanced packaging is also driven by the wind of changes, due to the impressive impact of the megatrends,” explained Emilie Jolivet, Division Director, Semiconductor & Software at Yole. “Yole and NCAP China have decided to combine their expertise this year again to propose the Advanced Packaging and System Integration Technology Symposium in Shanghai, prior NEPCON China. This Shanghai edition will be the place to be to understand the industry evolution and measure the impact of the megatrends”.

NCAP CHINA and Yole have built an innovative program fully dedicated to the advanced packaging industry, the Advanced Packaging & System Integration Technology Symposium takes place in Shanghai, China, from April 22nd to 23rd, 2019, prior to NEPCON China 2019. During these two days, all packaging aspects, including Panel Level, Fan-Out, SiP, Advanced Substrates and 3D Technology, will be discussed.

A focus on key applications such as AI, HPC, memory, transportation (48V, EV/HEV, embedded die packaging platform, PCB , advanced substrates), 5G and consumer (WLP and Fan-Out platforms) will be at the heart of the conference.

Mega-trends create huge business opportunities amongst various advanced packaging platforms. Therefore, advanced packaging technologies are just ideal for fulfilling numerous performance and complex heterogeneous integration needs.

“Two advanced packaging roadmaps are foreseen: scaling and functional,” stated Santosh Kumar, Principal Analyst & Director Packaging, Assembly & Substrates, Yole Korea. “And the semiconductor industry is developing products for both of them. Advanced packaging is seen as a way to increase the value of a semiconductor product, adding functionality, maintaining/increasing performance while lowering cost.”

Both roadmaps developed by the Semiconductor and Software team at Yole, hold more multi-die heterogeneous integration, called SiP, and higher levels of package customisation in the future. A variety of SiP solutions is developing in both high and low end, for consumer, performance and specialised applications. Heterogeneous integration has clearly created opportunities for both the substrate and WLP based SiP.

The advanced packaging supply chain is also involved. In order to expand the businesses, explore new areas and prepare for future uncertainty, advanced packaging players are moving to different business models:

  • Some IDMs such as Intel are entering the foundry business to leverage their front-end technology expertise and create additional revenue stream by utilising their excess capacity. Samsung, SK Hynix are also part of the playground.
  • OEMs, software and service companies are designing their own chips and controlling the supply chain of equipment & materials related to it. Betting on mega-trends such as AI, some OSATs are expanding into the fablite business model.
  • Pure play foundries including TSMC, XMC, UMC and SMIC are entering the high-end packaging business to provide turnkey solution to their customers.
  • OSATs, such as Amkor Technology, JCET/STATS ChipPAC, ASE, SPIL, Powertech Technology, are directing considerable efforts in developing advanced wafer level and 3D IC packaging capability to support requirements for scaling & density. OSATs are expanding their testing expertise & traditional pure test players are investing in assembly and packaging capability.
  • Substrate manufacturers are penetrating the advanced packaging area with panel-level fan-out packaging and embedded die in organic laminate.

Dr Cao LiQiang, NCAP’s CEO, said: “Under the background of China 13th Five-Year Plan and Made in China 2025, local organisations, including NCAP, focus on the core technology development for semiconductor industry and make big progresses. Promoting international communication as well as global cooperation on advanced packaging is the goal shared by Yole and NCAP, and the reason why we insist to organise the activity and make it an annual big event. With good reputations, hot topics and insightful presentations, we firmly believe that 2019 symposium will be a success. Don’t miss the opportunity to learn technology trend and expand your business at China.”

With the Advanced Packaging and System Integration Technology Symposium, Yole and NCAP hope to have created a program to understand the status of the advanced packaging industry and help the companies to be part of the ‘tomorrow’ industry.

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier