Design

28mn design taped out using timing signoff tool

8th July 2014
Staff Reporter
0

Allowing for the analysis of over 50M cells flat in the design, the Cadence Tempus Timing Signoff Solution has been used by Hitachi for its latest giga-scale design. Hitachi also utilised Tempus Timing Signoff Optimization (TSO), which resulted in a reduction of overall closure time to just 3 weeks, down from almost 2 months.

 

 

Enabling customers to shrink timing signoff closure and analysis turnaround time to a minimum, the Tempus solution is the lead tool in a new class of parallel timing signoff tools and capabilities. Designs are produced with less pessimism, area and power consumption through physically aware and path-based analysis optimisation. By combining the massively parallelized capabilities of Tempus and QRC together and leveraging native database formats, Hitachi was able to improve time-to-tapeout well beyond those of existing mixed tool flows.

“We have worked very closely with Hitachi to ensure that the Tempus solution fulfills the requirements of its next generation of product design cycles,” said Anirudh Devgan, senior vice president of the Digital and Signoff Group (DSG) at Cadence. “The Tempus solution is the first innovation in a platform of signoff tools that brings a scalable and complete signoff solution to our customers now and at smaller process nodes.”

Product Spotlight

Upcoming Events

View all events
Newsletter
Latest global electronics news
© Copyright 2024 Electronic Specifier